.. Chapitre and . Neuromorphique, 1 -Mesure à l'oscilloscope de 3 types de neurones : a) Neurone de type Fast Spiking (FS), vol.4

, b) Neurone de type Regular Spiking (RS)

, Neurone de type Intrinsically Bursting (IB)

, Ornstein-Uhlenbeck dans le chapitre 2. [NAWR 2007] a déterminé, à partir de plusieurs mesures chez le rat, que les cellules du néocortex possèdent en moyenne un Inter-Spike Interval (ISI) de 10,4 +/-4,5 spikes par seconde. D'un autre côté, [WEBB 1976] a mesuré les activités spontanées des neurones de chat et publie les chiffres de 2,5 spikes par seconde. La figure 4.2 montre qu'il est possible de faire varier le taux d'ISI avec des paramètres différents sur les équations d'Ornstein-Ulhenbeck pour afficher un ISI proche de ce que, L'importance du bruit a déjà été expliquée dans le chapitre 1 et l'implémentation du modèle d

, De plus, il est possible d'effectuer des simulations numériques pour l'interface biophysique afin d'assister le développement de ces types de plateformes, 2017.

M. Abeles, H. Bergman, E. Margalit, and E. Vaadia, Spatiotemporal firing patterns in the frontal cortex of behaving monkeys, Journal of Neurophysiology, vol.70, pp.1629-1638, 1993.

M. Abeles, I. D. Gat-;-e, Y. Adrian, and . Zotterman, Detecting precise firing sequences in experimental data, A Configurable FPGA Implementation of the Tanh Function Using DCT Interpolation, vol.107, p.25, 1926.

, Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)

]. K. Akbarzadeh-sherbaf, B. Abdoli, S. Safari, and A. H. Vahabie, A Scalable FPGA Architecture for Randomly Connected Networkd of Hodgkin-Huxley Neurons, vol.12, p.698, 2018.

S. J. Van-albada, A. G. Rowley, J. Senk, M. Hopkins, M. Schmidt et al., Performance Comparison of the Digital Neuromorphic Hardware SpiNNaker and the Neural Network Simulation Software NEST for a Full-Scake Cortical Microcircuit Model, vol.12, p.291, 2018.

M. Ambroise, T. Levi, S. Joucla, B. Yvert, and S. Saïghi, Real-time biomimetic Central Pattern Generators in an FPGA for hybrid experiments, Frontiers in Neuroscience, vol.7, p.215, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00956624

M. B. Ambroise-;-b, L. Andersen, B. Korbo, and . Pakkenberg, Hybridation des réseaux de neurones : de la conception du réseau à l'interopérabilité des systèmes neuromorphiques, vol.326, pp.549-560, 1992.

J. V. Arthur, P. A. Merolla, F. Akopyan, R. Alvarez, A. Cassidy et al., Building block of a programmable neuromorphic substrate : A digital neurosynaptic core, The 2012 International Joint Conference on Neural Networks (IJCNN), 2012.

H. S. Bateup, C. A. Johnson, C. L. Denefrio, J. L. Salunier, K. Komacker et al., Excitatory-Inhibitory Synaptic Imbalance Leads to Hippocampal Hyperexcitability in Mouse Models of Tuberous Sclerosis, vol.78, pp.510-522, 2013.

]. S. Bayliss, L. D. Buckberry, I. Flandcher, and M. J. Tobin, The culture of neurons on silicon, Sensors and Actuatoors A : Phsyical, vol.74, pp.139-142, 1999.

]. U. Benlic and J. Hao, Breakout local search for the quadratic assignment problem, Applied Mathematics and Computation, vol.219, pp.4800-4815, 2013.

B. Bernal and A. Ardila, The role of the arcuate fasciculus in conduction aphasia, Brain, vol.132, pp.2309-2316, 2009.

G. Bilbro, R. Mann, T. K. Miller, W. E. Snyder, and D. E. ,

. White, Optimization by mean field annealing, Advances in Neural Information Processing Systems, pp.91-98, 1989.

S. Binczak, S. Jacquir, J. M. Bilbault, V. Kasantsev, and V. Nekorkin, Experimental study of electrical FitzHugh-Nagumo neurons with modified excitability, Neural Networks, vol.19, pp.648-693, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00584220

D. Blanchard, K. Aihara, and T. Levi, Snake Robot Controlled by Biomimetic CPGs, Journal of Roboticsn Networking and Artificial Life, vol.5, pp.253-256, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02482395

T. V. Bliss, T. Lomo, ;. Blum, and A. Roli, Long-lasting potentiation of synaptic transmission in the dentate area of the anaesthetized rabbit following stimulation of the perforant path, Metaheuristics in combinatorial optimization : Overview and conceptual comparison, vol.232, pp.268-308, 1973.

B. W. Mel, Why Have Dendrites -A Computational Perspective, vol.16, 2006.

S. Y. Bonabi, H. Asgharian, S. Safari, and M. N. Ahmadabadi, FPGA implementation of a biological neural network based on the Hodgkin-huxley neuron model, Frontiers in Neuroscience, vol.8, p.379, 2014.

P. Bonifazi, F. Difato, P. Massobrio, G. L. Breschi, V. Pasquale et al., In vitro large-scale experimental and theoretical studies for the realization of bidirectional brain-prostheses, Frontiers in Neural Circuit, vol.7, p.40, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00956625

J. Bono, C. Clopath, ;. Bourjilat, F. Sarry, D. Kourtiche et al., Modeling somatic and dendritic spike mediated plasticity at the single neuron and network level, Eleventh Inter-Bibliographie national Conference on Sensing Technology, vol.8, p.706, 2017.

]. J. Bower, Control of sensory data acquisition, International review of neurobiology, vol.41, pp.489-513, 1994.

R. Brette and W. Gerstner, Adaptive Exponential Integrate-and-Fire Model as an Effective Description of Neuronal Activity, Journal of Neurophysiology, vol.94, pp.3637-3642, 2005.

R. Brandte, What is the most realistic single compartment model of spike initiation ?, PLOS, vol.11, issue.4, 2015.

R. Brette, Philosophy of the Spike : Rate-Based vs. Spike-Based Theories of the Brain, A relationship between behavioral choice and the visual responses of neurons in macaque MT, vol.9, pp.87-100, 1996.
URL : https://hal.archives-ouvertes.fr/hal-01357976

F. D. Broccard, S. Joshi, J. Wang, and G. Cauwenberghs, Neuromorphic neural interfaces : from neurophysiological inspiration to biohybrid coupling with nervous systems, Journal of Neural Engineering, vol.14, p.42, 2017.

S. Buccelli, Y. Bornat, I. Colombi, M. Ambroise, L. Martines et al., A neuroprosthetic sytem to restore neuronal communication in modular networks, iScience, vol.19, pp.402-414, 2019.

J. M. Carmena, M. A. Lebedev, R. E. Crist, J. E. Odoherty, D. M. Santucci et al., Learning to Control a Brain-Machine Interface for Reaching and Grasping by Primates, PLOS Biology, vol.1, p.42, 2003.

A. Casanova, L. Bandtamin, M. Blatche, F. Mathieu, H. Martin et al., Nanowire based bioprobes for electrical monitoring of electrogenic cells, Journal of Physics : Condensed Matter, vol.30, p.46, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01942304

A. G. Cassidy and . Andreaou, Design of a One Million Neuron Single FPGA Neuromorphic System for Real-time Multimodal Scene Analysis, p.45, 2011.

, Mammalian MAP kinase signalling cascades, Annual Conference on Information Sciences and Systems, vol.410, pp.37-40, 2001.

D. B. Chiklovskii, T. Schikorsko, and C. F. Stevens, Wiring Optimization Bibliographie in Cortical Circuit, Neuron, vol.34, pp.341-347, 2002.

Z. Chou, J. Lim, S. Brown, M. Keller, J. Bugbee et al., Bidirectional neural interface : Closed-loop feedback control for hybrid neural systems, 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), vol.14, pp.811-819, 2011.

N. M. Correa, Y. Lin, T. Adali, and V. D. Calhoun, Fusion of FMRI, SMRI, and EEG Data Using Canonical Correlation Analysis, IEEE, International Conference on Acoustics, Speech and Signal Processing, 2009.

V. Costa, S. Aigner, M. Vukcevic, E. Sauter, K. Behr et al., mTORC1 Inhibition Corrects Neurodevelopmental and Synaptic Alterations in a Human Stem Cell Model of Tuberous Sclerosis, vol.15, pp.86-95, 2016.

W. Dauer and S. Przedborski, Parkinson's Disease : Mechanisms and Models, Neuron, vol.39, pp.889-909, 2003.

A. P. Davison, J. Feng, and D. Brown, A reduced compartmental model of the mitral cell for use in network models of the olfactory bulb, Brain Research Bulletin, vol.51, pp.393-399, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00635986

M. Davies, N. Srinivasa, T. Lin, G. Chinya, Y. Cao et al., Loihi : A Neuromorphic Manycore Processor with On-Chip Learning, vol.38, pp.82-99, 2018.

D. Debanne, E. Campanac, A. Bialowas, E. Carlier, G. Alcaraz et al., Floating-point sparse matrix-vector multiply for FPGAs, Proceeding, ACM/SIGDA 13th international symposium on Fieldprogrammable gate arrays, vol.91, pp.555-602, 2005.

A. Destexhe, M. Rudolph, J. Fellous, and T. J. Sejnowski, Fluctuating synaptic conductances recreate in vivo-like activity in neocortical neurons, Neuroscience, vol.107, p.46023, 1998.
URL : https://hal.archives-ouvertes.fr/hal-00124691

;. M. Bibliographie and . Elowitz, A synthetic oscillatory network of transcriptional regulators, Nature, vol.403, pp.335-338, 2000.

D. S. Faber and H. Korn, Electrical Field Effects : Their Relevance in Central Neural Networks, vol.69, pp.821-863, 1989.

M. D. Fox, M. Corbandta, A. Z. Snyder, J. L. Vincent, and M. E. Raichle, Spontaneous neuronal activity distinguishes human dorsal and ventral attention systems, PNAS, vol.103, p.26, 2006.

R. C. Froemke, M. Pee, and Y. Dan, Spike-timing-dependent synaptic plasticity depends on dendritic location, Predator-Prey Molecular Ecosystems, vol.434, pp.27-34, 2005.

S. Gasparini, M. Migliore, and J. C. Magee, On the Initiation and Propagation of Dendritic Spikes in CA1 Pyramidal Neurons, Journal of Neuroscience, vol.24, pp.11046-11056, 2004.

S. George, J. Hasler, S. Koziol, S. Nease, and S. Ramakrishnan, Low Power Dendritic Computation for Wordspotting, Journal of Low Power Electronics and Applications, vol.3, pp.73-98, 2013.

J. R. Gibson, M. Beierlein, and B. W. Connors, Two Networks of electrically coupled inhibitory neurons in neocortex, Nature, vol.402, pp.75-79, 1999.

F. Grassia, L. Buhry, T. Levi, J. Tomas, A. Destexhe et al., Tunable neuromimetic integrated system for emulating cortical neuron models, Frontiers in Neuroscience, vol.5, p.134, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00684091

F. Grassia, T. Kohno, and T. Levi, Digital hardware implementation of a stochastic two-dimensional neuron model, Journal of Physiology-Paris, vol.110, pp.409-416, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01562687

M. Greschner, J. Shlens, C. Bakolitsa, G. D. Field, J. L. Gauthier et al., Correlated firing among major ganglion cell types in primate retina, The Journal of Physiology, vol.589, pp.75-86, 2011.

C. Grienberger and A. Konnerth, Imaging Calcium in Neurons, Neuron, vol.73, pp.862-885, 2012.

B. W. Connors, M. J. Gutnick-;-p, E. Hammer, and . Shlifer, Applications of pseudo-Boolean methods to economic problems, Trends in Neurosciences, vol.13, pp.296-308, 1971.

C. Hammond, H. Bergman, and P. Brown, Pathological synchronization in Parkinson's disease : networks, models and treatments, Trends in Neurosciences, vol.30, pp.357-364, 2007.

P. Hasler, S. Kozoil, E. Farquhar, and A. Basu, Transistor channel dendrites implementing HMM classifiers, IEEE, International Symposium on Circuits and Systems (ISCAS), 2007.

E. Hayn, S. Hill, F. Schurmann, H. Markram, and I. Segev, Models of Neocortical Layer 5b Pyramidal Cells Capturing a Wide Range of Dendritic and Perisomatic Active Properties, PLOS Computational biology, vol.7, issue.7, pp.978-0805843002, 2011.

H. C. Lai, L. Y. Hill, J. Lu, M. A. Masino, O. H. Olsen et al., A Model of a Segmental Oscillator in the Leech Heartbeat Neuronal Network, Journal of Computational Neuroscience, vol.7, pp.281-302, 2001.

L. R. Hochberg, D. Bacher, B. Jarosiewicz, N. Y. Masse, J. D. Simeral et al., Reach and grasp by people with tetraplegia using a neurally controlled robotic arm, Nature, vol.485, pp.372-375, 2012.

A. L. Hodgkin and W. A. Rushton, The electrical constants of a crustacean nerve fibre, vol.133, p.444, 1936.

A. L. Hodgkin, The local electric changes associated with repetitive action in a non-medullated axon, The Journal of physiology, vol.107, pp.165-181, 1948.

A. L. Hodgkin and A. F. Huxley, A Quantitative description of membrane current and its application to conduction and excitation in nerve, Society of Mathematical Biology, vol.117, pp.500-544, 1952.

M. Hu, J. P. Strachan, Z. Li, E. M. Grafals, N. Davila et al., Dot-product engine for neuromorphic computing : programming 1T1M crossbar to accelerate matrix-vector multiplication, p.53, 2016.

, ACM/EDAC/IEEE Design Automation Conference (DAC)

]. K. Hukushima and K. Nemoto, Exchange Monte Carlo Method and Application to Spin Glass Simulations, Journal of the Physical Society of Japan, vol.65, pp.1604-1608, 1996.

;. Q. Bibliographie, M. B. Huys, L. Ahrens, and . Paninski, Efficient Estimation of Detailed Single-Neuron Models, Journal of Neurophysiology, vol.96, pp.872-890, 2006.

J. S. Ide, F. A. Cappabianco, F. A. Faria, and C. R. Li, Detrended Partial Cross Correlation for Brain Anaysis, Advances in Neural Information Processing Systems, 31st congerence on Neural Information Processing Systems, 2017.

G. Indiveri and S. Fusi, Spike-based learning in VLSI networks of integrate-and-fire neurons, IEEE, International Symposium on Circuits and Systems (IS-CAS), 2007.

E. M. Izhikevich, Dynamical Systems in Neuroscience, IEEE Transactions on Neural Networks, vol.14, pp.1569-1572, 2000.

E. M. Izhikevich, Which Model to Use fo Cortical Spiking Neurons, IEEE Transactions on Neural Networks, vol.15, pp.1063-1070, 2004.

A. Jackson, Neural interfaces take another step forward, vol.539, pp.177-178, 2016.

J. Jordan, T. Ippen, M. Helias, I. Kitayama, M. Sato et al., Extremely Scalable Spiking Neuronal Network Simulation Code : From Laptops to Exascale Computers, Frontiers in Neuroinformatics, vol.12, issue.2, 2018.

S. Joucla, M. Ambroise, T. Levi, T. Lafon, P. Chauvand et al., Generation of Locomotor-Like Activity in the Isolated Rat Spinal Cord Using Intraspinal Electrical Microstimulation Driven by a Digital Neuromorphic CPG, Electrophysiology of Hippocampal Neurons : IV. Fast Prepotentials, vol.10, pp.272-285, 1960.
URL : https://hal.archives-ouvertes.fr/hal-01562686

J. Kawada, S. Kaneda, T. Kirihara, A. Maroof, T. Levi et al., Generation of a Motor Nerve Organoid with Human Stem Cell-Derived Neurons, Stem Cell Reports, vol.9, pp.1441-1449, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01709419

E. Kandel, T. Jessell, and J. H. Schwartz, Input Conductance, Axonal conduction velocity and cell size among hindlimb motoneurones of the cat, Principles of Neuroscience, vol.204, pp.90591-90597, 1981.

]. K. Ess, ;. Khoyratee, F. Grassia, S. Saïghi, and T. Levi, Tuberous Sclerosis Complex : A Brave New World, National Institue of Health (NIH), vol.23, p.377, 2010.

F. Khoyratee, S. M. Nishikawa, L. Zhongyue, S. H. Kim, S. Saïghi et al., Biomimetic Spiking Neural Network (SNN) Systems for 'In Vitro' Cells Stimulation, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02484011

A. D. King, W. Bernoudy, J. King, A. J. Berkley, T. Lanting-;-t.-kirihara et al., A Human Induced Pluripotent Stem Cell-Derived Tissue Model of a Cerebral Tract Connecting Two Cortical Regions, iScience, vol.14, pp.301-311, 2018.

O. Kjaerulff and O. Kiehn, Distribution of Networks Generating and Coordinating Locomotor Activity in the Neonatal Rat Spinal Cord In Vitro : A Lesion Study, Journal of Neuroscience, vol.16, pp.5777-5794, 1996.

G. Kochenberger, J. Hao, F. Glover, M. Lewis, Z. Lü et al.,

. Wang, The unconstrained binary quadratic programming problem : a survey, Journal of Combinatorial Optimization, vol.28, pp.58-81, 2014.

T. Kohno, M. Sekikawa, J. Li, T. Nanami, and K. Aihara, Qualitative-Modeling-Based Silicon Neurons and Their Networks, Frontiers in Neuroscience, vol.10, p.273, 2016.

J. Krarup and P. M. Pruzan, Computer-aided layout design, Mathematical Programming in Use, vol.9, pp.75-94, 1978.

H. T. Kung, C. E. Leiserson-;-d, and . Laughhunn, Quadratic Binary Programming with Application to Capital-Budgeting Problems, Sparse Matrix Proceedings, vol.18, pp.375-565, 1970.

M. A. Nicolelis and M. A. Lebedev, Principles of neural ensemble physiology underlying the operation of brain-machine interfaces, Nature Reviews Neuroscience, vol.10, pp.530-540, 2009.

T. Leleu, Y. Yamamoto, P. L. Mcmahon, and K. Aihara, Destabiliza-Bibliographie tion of Local Minima in Analog Spin Systems by Correction of Amplitude Heterogeneity, Physical Review Letters, vol.122, p.1, 2019.

G. L. Masson, S. Przedborski, and L. F. Abbott, A Computational Model of Motor Neuron Degeneration, Neuron, vol.83, pp.975-988, 2014.

H. Levitan, J. P. Segundo, and G. P. Moore, Statistical Analysis of Membrane Potential Fluctuations, Biophysical Journal, vol.8, issue.11, pp.86554-86557, 1968.

T. Levi, F. Khoyratee, S. Saïghi, and Y. Ikeuchi, Digital implementation of Hodgkin-Huxley neuron model for neurological diseases studies, Artificial Life and Robotics, vol.23, pp.10-14, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01567496

T. Levi, P. Bonifazi, P. Massobrio, and M. Chiappalone, Closed-Loop Systems for Next-Generation Neuroprostheses, Frontiers in Neuroscience, vol.12, p.26, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01709420

S. C. Liu and R. Douglas, Temporal Coding in a Silicon Network of Integrate-and-Fire Neurons, IEEE Transactions on Neural Networks, vol.15, pp.1305-1314, 2004.

M. London, A. Roth, L. Beeren, M. Hausser, and P. E. Latham, Sensitivity to perturbations in vivo implies high noise and suggests rate coding in cortex, Nature, vol.466, pp.123-127, 2010.

Z. F. Mainen and T. J. Sejnowski, Reliability of Spike Timing in Neocortical Neurons, vol.268, pp.1503-1506, 1995.

Z. F. Mainen, T. J. Sejnowski-;-z, T. J. Mainen, ;. Sejnowski, G. Maki-marttunen et al., A stepwise neuron model fitting procedure designed for recordingswith high spatial resolution : Application to layer 5 pyramidal cellsTuomo, Influence of dendritic structure on firing pattern in model neocortical neurons, vol.382, pp.1797-1829, 1996.

E. Marder and D. Bucher, Central pattern generators and the control of rhythmic movements, Current Biology, vol.11, pp.986-996, 2001.

H. Markram, E. Muller, S. Ramaswamy, M. W. Reimann, M. Abdellah et al., , 2015.

A. Berger, N. Bilgili, A. Buncic, G. Chalimourda, J. Chindemi et al.,

A. Ghobril, J. W. Gidon, A. Graham, V. Gupta, E. Haenel et al.,

J. Morrice, A. Muller, S. Muñoz-céspedes, K. Muralidhar, D. Muthurasa et al.,

J. L. Rodríguez, C. Riquelme, K. Rössert, Y. S. Sfyrakis, J. C. Shillcock et al., Reconstruction and Simulation of Neocortical Microcircuitry, vol.163, pp.277-280, 2015.

E. Martin, W. Cazenave, D. Cattaert, and P. Branchereau, Embryonic alteration of motoneuronal morphology induces hyperexcitability in the mouse model of amyotrophic lateral sclerosis, Neurobiology of Disease, vol.54, pp.116-126, 2013.

C. J. Mcbain and A. Fisahn, Interneurons Unbound, Nature Reviews Neuroscience, vol.2, pp.11-23, 2001.

, Stimulus Generator 4002 Manual, Doi : www.multichannelsystems.com [MELH 1988] R. Melhem, 1988, Parallel solution of linear systems with striped sparse matrices, Parallel Computing, vol.6, pp.165-184, 2019.

B. W. Mel, Information Processing in Dendritic Trees, Neural Computation, vol.6, pp.1031-1085, 1994.

P. A. Merolla, J. V. Arthur, R. Alvarez-icaza, A. S. Cassidy, J. Sawada et al., A million spiking, Science, vol.345, pp.668-673, 2014.

M. Zoli, C. Torri, R. Ferrari, A. Jansson, I. Zini et al., The emergence of the volume transmission concept, Brain research reviews, vol.26, pp.136-147, 1998.

V. B. Mountcastle, W. H. Talbot, H. Sakata, and J. Hyvarinen, Cortical neuronal mechanisms in flutter-vibration studied in unanesthetized monkeys. Neuronal periodicity and frequency discrimination, Journal of Neurophysiology, vol.32, pp.452-484, 1969.

M. Monteforte and F. Wolf, Dynamic Flux Tubes Form Reservoirs of Stability in Neuronal Circuits, Physical Review X, vol.2, p.12, 2012.

A. Natarajan and J. Hasler, Hodgkin-Huxley Neuron and FPAA Dynamics, IEEE Transactions on Biomedical Circuits and Systems, vol.12, pp.918-926, 2018.

B. Naundorf, F. Wolf, and M. Volgushev, Unique features of action potential initiation in cortical neurons, Nature, vol.440, pp.1060-1063, 2006.

M. P. Nawrot, C. Boucsein, V. Rodriguez-molina, A. Aertsen, S. Grün et al., Serial interval statistics of spontaneous activity in cortical neurons in vivo and in vitro, Neurocomputing, vol.70, pp.1717-1722, 2007.

S. Nazari, K. Faez, M. Amiri, and E. Karami, A digital implementation of neuron-astrocyte interaction for neuromorphic applications, Neural Networks, vol.66, pp.79-90, 2015.

S. M. Nishikiwa, S. H. Kim, Z. Luo, T. Kirihara, Y. Ikeuchi et al., Biomimetic microfluidic neurons for bio-hybrid experiments, Neuro-hybrid systems : the future for biomedical applications, Seisan Kenkyu, vol.23, pp.787-790, 2018.

S. F. Oberman and M. J. Flynn, An Analysis of Division Algorithms and Implementations, IEEE Transaction on Computers, vol.46, pp.833-854, 1995.

J. E. Odoherty, M. A. Lebedev, P. J. Ifft, K. Z. Zhuang, S. Shokur et al., Active tactile exploration enabled by a brain-machine-brain interface, Nature, vol.479, pp.228-231, 2011.

O. Lindvall and Z. Kokaia, Stem cells for the treatment of neurological disorders, Nature, vol.441, pp.1094-1096, 2006.

R. R. Osorio, Pipelined FPGA Implementation of numerical Integration of the HH Model, 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2016.

M. M. Patil, C. Linster, E. Lubenov, and M. E. Hasselmo, Cholinergic Agonist Carbachol Enables Associative Long-Term Potentiation in Piriform Cortex Slices, The American Physiological Society, vol.80, pp.1754-1762, 1998.

;. B. Bibliographie and . Polyak, Some methods of speeding up the convergence of iteration methods, USSR Computational Mathematics and Mathematical Physics, vol.4, pp.1-17, 1964.

M. Pospischil, M. Toledo-rodriguez, C. Monier, Z. Piwkowska, T. Bal et al., Minimal Hodgkin-Huxley type model for different classes of cortical and thalamic neurons, Biological Cybernetics, vol.99, pp.427-441, 2008.

]. S. Potter, A. E. Hady, and E. E. Fetz, Closed-loop neuroscience and neuroengineering, Frontiers in Neural Circuits, vol.8, p.115, 2014.

V. K. Prasanna and G. R. Morris, Sparse matrix computations on reconfigurable, vol.40, pp.58-64, 2007.

S. A. Prescott, Excitability : Types I, II, and III, Encyclopedia of Computational Neuroscience, 2014.

J. Pujol, J. Bello, J. Deus, N. Cardoner, J. L. Marti-vilalta et al., Beck Depression Inventory factors related to demyelinating lesions of the left arcuate fasciculus region, vol.99, pp.151-159, 2000.

N. Qiao, H. Mostafa, F. Corradi, M. Osswald, F. Steganini et al., A reconfigurable on-line learning spiking neuromorphic processor comprising 256 neurons and 128K synapses, Frontiers in Neuroscience, vol.9, p.141, 2015.

W. , Branching dendritic trees and motoneuron membrane resistivity, Experimental Neurology, vol.1, pp.90046-90055, 1959.

M. R. Rao, ;. Rasamuel, D. Gaffé, T. Levi, and B. Miramond, Synchronous Approach for Modeling Spiking Neurons, IEEE, Biomedical Circuits and Systems Conférence, vol.66, pp.622-626, 1971.

M. Recce, ;. S. Renaud, J. Tomas, Y. Bornat, A. Daouzli et al., Neuromimetic ICs with analog cores an alternative for simulating spiking neural networks, IEEE, International Symposium on Circuits and Systems, pp.111-131, 1999.

A. Renart and C. K. Machens, Variability in neural activity and behavior, vol.25, pp.211-220, 2014.

;. J. Bibliographie and . Rhys, A selection problem of shared fixed costs and network flows, Management Science, vol.17, pp.127-246, 1970.

V. Booth and J. Rinzel, A minimal, compartmental model for a dendritic origin of bistability of motoneuron firing patterns, Journal of Computational Neuroscience, vol.2, pp.299-312, 1995.

J. E. Robertson, A new Class of Digital Division Methods, IEEE, pp.218-222, 1958.

S. Saïghi, Y. Bornat, J. Tomas, G. L. Masson, and S. Renaud, A Library of Analog Operators Based on the Hodgkin-Huxley Formalism for the Design of Tunable, Real-Time, Silicon Neurons, IEEE, vol.5, pp.3-19, 2011.

J. Schemmel, D. Bruderle, K. Meier, and B. Ostendorg, Modeling Synaptic Plasticity within Networks of Highly Accelerated I& F Neurons, IEEE , International Symposium on Circuits and Systems (ISCAS), 2007.

J. Schiller, G. Major, H. J. Koester, and Y. Schiller, NMDA spikes in basal dendrites of cortical pyramidal neurons, Nature, vol.404, pp.285-289, 2000.

I. A. Shevelev, R. V. Novikova, N. A. Lazareva, A. S. Tikhomirov, G. A. Sharaev et al., Sensitibity to cross-like figures in the cat striate neurons, Differences in Spiking Patterns Among Cortical Neurons, vol.69, pp.2823-2842, 1995.

M. F. Simoni and S. P. Deweerth, Sensory Feedback in a Half-Center Oscillator Model, vol.54, pp.193-204, 2007.

M. Sorensen, S. Deweerth, G. Cymbalyuk, and R. L. Calabrese, Using a Hybrid Neural System to Reveal Regulation of Neuronal Network Activity by an Intrinsic Current, The Journal of Neuroscience, vol.24, pp.5427-5438, 2004.

J. Spillane, D. M. Kullmann, and M. G. Hanna, Genetic neurological channelopathies -molecular genetics and clinical phenotyoes, Journal of Neurology, vol.87, pp.37-48, 2016.

S. Herculano-houzel, ;. L. Swanson, J. D. Hahn, and O. Sporns, Organizing principles for the cerebral cortex network of commissural and association connections, Frontiers in Human Neuroscience, vol.3, issue.45, pp.9692-9701, 2009.

;. S. Bibliographie, N. Le-thanh, F. Lobato-dauzier, R. Khoyratee, T. Beaubois et al., Low power and massively parallel simulation of oscillatory biochemical networks on FPGA, IEEE Biomedical Circuits and Systems Conference, 2019.

W. Thomson, ;. S. Thorpe, D. Fize, and C. Marlot, Speed of processing in the human visual system, Molecular Dynamics and the Wave Theory of Light, vol.381, pp.520-522, 1904.

A. Tixier-mita, S. Ihida, D. Blanchard, M. Shinohara, A. Eiler et al., 2D Dielectrophoresis using an active matrix array made by thin-film transistor technology, IEEJ Transactions on Electrical and Electronic Engineering, vol.14, p.9, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02482385

M. Toledo-rodriguezn, B. Blulenfeld, C. Wu, J. Luo, B. Attali et al., Correlation Maps Allow Neuronal Electrical Properties to be Predicted from Single-cell Gene Expression Profiles in Rat Neocortex, Cerebral Cortex, vol.14, pp.1310-1327, 2004.

M. Tomita, Whole-cell simulation : a grand challenge of the 21st century, Trends in Biotechnology, vol.19, pp.205-210, 2001.

]. H. Tuckwell, F. Y. Wan, and J. Rospars, A spatial stochastic neuronal model with Ornstein-Uhlenbeck input current, Biological Cybernetics, vol.86, pp.137-145, 1988.

M. Velliste, S. Perel, M. C. Spalding, A. S. Whitford, and A. B. Schwartz, Cortical control of a prosthetic arm for self-feeding, Nature, vol.453, pp.1098-1101, 2003.

R. J. Vogelstein, U. Mallik, and G. Cauwenberghs, Silicon spike-based synaptic array and address-event transceiver, IEEE, International Symposium on Circuits and Systems (ISCAS), 2004.

J. E. Volder, The CORDIC Trigonometric Computing Technique, IEEE, IRE Transactions on Electronic Computers, 1959.

R. Wang, G. Cohen, K. M. Stiefel, T. J. Hamilton, J. Tapson et al., An FPGA implementation of a polychronous spiking neural network with delay adaptation, Frontiers in Neuroscience, vol.7, p.14, 2013.

, The effects of changing levels of arousal on the spontaneous activity of cortical neurones I. Sleep and wakefulness, Biological Sciences, vol.194, 1976.

;. W. Bibliographie, K. Williams, and . Herrup, The Control of Neuron Number, Annual Review of Neuroscience, vol.11, pp.423-453, 1988.

C. , Mathematical methods of site selection for Electronic Message Systems (EMS), Final Report National Bureau of Standards, 1975.

, Ultrascale Architecture DSP slice user guide, Xilinx technical document, 2019.

K. Takahashi and S. Yamanaka, Induction of Pluripotent Stem Cells from Mouse Embryonic and Adult Fibroblast Cultures by Defined Factors, Cell, vol.126, pp.663-676, 2006.

F. Yeh, S. Panesar, D. Fernandes, A. Meola, M. Yoshino et al., Population-averaged atlas of the macroscale human structural connectome and its network topology, vol.178, pp.57-68, 2018.

B. Zingg, H. Hintiryan, L. Gou, M. Y. Song, M. Bay et al., Neural Networks of the Mouse Neocortex, vol.156, pp.1096-1111, 2014.

, Journaux international

F. Khoyratee, S. Grassia, T. Saïghi, and . Levi, Optimized Real-Time Biomimetic Neural Network on FPGA for Bio-Hybridization, vol.13, p.377, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02482394

S. Nishikawa, F. Khoyratee, A. Eiler, S. H. Kim, S. Ihida et al., Neuro-hybrid systems : the future for biomedical applications, Seisan Kenkyu, vol.71, pp.787-790, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02482392

T. Kirihara, Z. Luo, S. Y. Chow, R. Misawa, J. Kawada et al.,

V. Vollette, T. Voltz, T. Levi, Y. Fujii, and . Ikeuchi, A Human Induced Pluripotent Stem Cell-Derived Tissue Model of a Cerebral Tract Connecting Two Cortical Regions, iScience, vol.14, pp.301-311, 2019.

T. Levi, F. Khoyratee, S. Saïghi, and Y. Ikeuchi, Digital implementation of Hodgkin-Huxley neuron model for neurological diseases studies, Artificial Life and Robotics, vol.23, pp.10-14, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01567496

, Conférences internationales

L. Thanh, N. Lobato-dauzier, F. Khoyratee, R. Beaubois, T. Fujii et al., Low power and massively parallel simulation of oscillatory biochemical networks on FPGA, IEEE Biomedical Circuits and Systems Conference, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02406013

A. Eiler, F. Khoyratee, S. Ihida, H. Toshiyoshi, T. Levi et al., A Bio-Hybrid Platform using Thin-Film-Transistor Arrays and a Biomimetic Neural Network, 11th International Symposium on Michrochemistry and Microsystems, 2019.

F. Khoyratee, S. Nishikawa, Z. Luo, S. O. Kim, S. Saighi et al., Biomimetic Spiking Neural Network (SNN) systems for 'in vitro' cells stimulation, IEEE ISCAS, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02484011

S. Nishikawa, F. Khoyratee, S. Kim, Y. Ikeuchi, Y. Aihara et al., Biomimetic spike-timing based ionic micro-stimulation for neuron culture, 24th International Conference on Artificial Life and Robotics, 2019.

S. Nishikawa, F. Khoyratee, Z. Luo, T. Shiraishi, K. Aihara et al., Neurohybrid system with Spiking Neural Network and biomimetic ionic Bibliographie microstimulation, 2018.

F. Khoyratee, Z. Luo, C. Volette, T. Benneteau, R. Beaubois et al., Neuromimetic stimulation applied on cerebral organoid, 2018.

T. Khoyratee, A. Benneteau, S. Tixier-mita, T. Saighi, S. Levi-;-busan et al., Biohybrid experiments using tunable real-time biomimetic neural network, Real-time digital implementation of HH neural network on FPGA : cortical neuron simulation, 23th International Conference on Artificial Life and Robotics, 2018.
URL : https://hal.archives-ouvertes.fr/hal-02484017

T. Kirihara, J. Kawada, Z. Luo, A. Chow, R. Misawa et al.,

. Ikeuchi, A three-dimensional in vitro model of interconnected cerebral regions, Proceedings of Cheminas, vol.37, p.89, 2018.
URL : https://hal.archives-ouvertes.fr/hal-02484024

F. Khoyratee, T. Levi, and S. Saighi, FPGA Implementation of the Hodgkin-Huxley Model for Neurological Disease study, The 2nd International Symposium on Neuromorphic, non-linear, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01709427

T. Levi, F. Khoyratee, S. Saighi, and Y. Ikeuchi, Digital implementation of Hodgkin-Huxley neuron model for neurological diseases studies, 22th International Symposium on Artificial Life and Robotics, vol.23, pp.10-14, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01567496

, Conférences nationales

F. Khoyratee, F. Grassia, S. Saïghi, and T. Levi, Réseaux de neurones artificiels biomimétiques pour de la bio-hybridation, Frontiers of NeuroIntelligence, IRCN, 2018.

S. Nishikawa, F. Khoyratee, Z. Luo, S. Kim, K. Aihara et al., Biohybrid system with spiking neural network and ionic microstimulation microfluidic chip, Implémentation du modèle de Hodgkin-Huxley sur FPGA pour l'étude des maladies neurodégénératives, Journées Francophones de la Recherche JFR 2017, 2017.
URL : https://hal.archives-ouvertes.fr/hal-02893060

F. Khoyratee, T. Levi, and S. Saighi, FPGA Implementation of the Hodgkin-Huxley Model for Neurological Disease study, JJC ICON, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01709427

F. Khoyratee, T. Levi, and S. Saighi, FPGA Implementation of the Hodgkin-Huxley Model for Neurological Disease study, GDR BioComp, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01709427

, Field Programmable Gate Array (FPGA)

, Ces cellules et leurs interconnexions (4.14.b) peuvent être configurées pour exécuter des fonctions logiques. Les CLB sont eux même composés de « slices » (4.14.d) qui sont des sous-cellules regroupant des Look-Up Table (LUT), des bascules D ou D Flip-Flops (DFF) en anglais, des multiplexeurs (MUX) et des unités arithmétiques et logiques ou Arithmetic and Logic Unit (ALU) en anglais. Les FPGA sont aujourd'hui composés d'autres circuits et fonctions pré-implémentés et préfabriqués. Ils peuvent posséder par exemple des Random Access Memory (RAM) pour la mémoire, des Digital Signal Processing (DSP) pour les multiplications, des Phased-Locked Loop (PLL) pour la gestion de l'horloge, des interfaces d'entrées sorties et pour certains des microprocesseurs. ANNEXES FIGURE 4.14 -Organisation d'un FPGA Xilinx 3 et image du CLB de [XILI 2016] ; a) Block d'entrées et sorties ; b) Matrice d'interconnexions permettant de connecter les différents modules ; c) Configurable Logic Block (CLB) contenant 2 slices ; d) Contenu d'une slice, Les FPGA sont des composants provenant de la famille des Programmable Logic Device (PLD). Ils sont, comme tous circuits intégrés, composés de semi-conducteurs. Ces circuits sont organisés par cellules logiques interconnectés (4.14.c) appelé Configurable Logic Block (CLB)

, Les unités logiques ou Look-Up Table (LUT)

. And, . Or, . Nand, . Nor, and X. Not, Ces derniers sont organisés en LUT et DFF. Ils sont considérés comme les plus petites unités logiques et servent d'éléments quantificateurs pour déterminer la taille d'un design ou la capacité d'un FPGA. Les LUT peuvent fonctionner dans un mode calcul logique et un mode mémoire. La mémoire tout comme les calculs sont limités par les entrées des LUT qui sont généralement entre 2 et 9 pour une sortie, Il a été dit précédemment que les FPGA étaient composés de cellules logiques

, Un coeur de calcul n'effectue qu'une opération à la fois. D'ores et déjà, deux différences peuvent être notées. Tout d'abord, les interconnexions du FPGA peuvent être reconfigurées, ce qui n'est pas le cas du CPU. Aussi, les circuits reconfigurables possèdent l'avantage d'exécuter des fonctions en parallèles. Il est donc possible de faire plusieurs calculs en même temps. Il est quand même bon de noter que la fréquence de fonctionnement des CPU est généralement plus élevée que les FPGA (ordre du GHz pour les CPU, 100 voire 200 MHz pour les FPGA les plus récents). La présence de PLL permet de multiplier les fréquences mais il faudra alors prendre en compte la limite de, Microprocesseur versus FPGA II peut être difficile de faire la différence entre un Processeur et un FPGA. Le processeur est un circuit électronique conçu et non modifiable pour exécuter des opérations sur nombres flottants

C. Le, . C++, and . Java, Une fois le/les systèmes numériques réalisés, plusieurs étapes s'offrent au concepteur. Tout d'abord, il y a la simulation, ensuite la synthèse et enfin l'implémentation. La synthèse permet de générer et de reconstituer un schéma logique du design à partir des fichiers de descriptions (HDL). L'implémentation, contrairement à la synthèse prend en compte les contraintes physiques, s'assure d'un placement des composants et d'un routage optimal des connexions des entrées aux sorties. Bien entendu, le logiciel ne place pas de composants mais choisis les composants qui seront utilisés dans le FPGA. Ces étapes sont réalisées par des outils mis en place par les constructeurs. A noter qu'il existe aussi des outils open source qui permettent de le faire, Processus de reconfiguration La reconfiguration hardware des FPGA se fait par un processus particulier. Tout d'abord, il existe des langages de description qui permettent de décrire le design souhaité et les interconnexions entre composants. Ces langages tel que le Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL) ou le Verilog sont au niveau matériel, à ne pas confondre avec les langages informatiques comme

, Une fois que le logiciel du constructeur finit le placement et le routage des composants, l'étape finale est la construction d'un fichier de reconfiguration qui sera transmis au FPGA lui indiquant la manière dont il doit être configuré. Pour résumer, le FPGA est une technologie intéressante en le comparant au GPU, au CPU et à l'ASIC. Son accessibilité, sa flexibilité, sa portabilité en font un outil idéal. Si l'on rajoute la possibilité de faire du calcul en temps réel, il s'agit de la meilleure plateforme pour l'application voulue. Le FPGA est organisé en unités de différents niveaux. Les cellules logiques ANNEXES comprennent des slices qui sont composés de LUT, de bascules et de multiplexeurs. Les LUT étant l'unité quantitative déterminant la taille d'un FPGA, elle permet d'exécuter les opérateurs booléens configurés. Le FPGA possède aussi d'autres circuits électronique permettant d'ajouter des fonctions comme, pour ne citer que ceux-là, la mémoire, la gestion d'horloges ou la gestion des entrées et des sorties. La reconfiguration des interconnexions et des cellules se fait en plusieurs étapes, Chaque étape rapproche la simulation du réel, Il ne faut cependant pas oublier que le dernier niveau d'implémentation est le plus proche du réel mais n'est pas le réel

L. Terme, Pour un système synchrone, il est important de prendre en compte ce temps de propagation car, l'information est généralement sauvegardée/prise en compte à un moment précis. Il peut être sur la montée vers un état haut du signal d'horloge (front montant) ou sur la descente (front descendant). La 4.15.a) représente un circuit logique entre deux bascules D. La bascule D retranscrit l'entrée sur la sortie à chaque front montant et agit comme une mémoire car elle conserve l'information précédente. Si le délai de propagation est trop important comme sur la 4.15.b), le résultat du calcul n'est pas sauvegardé. Le temps de propagation concerne donc les composants logiques par lequel passe l'information mais aussi, le routage entre les composants. Ainsi, plus la fréquence d'horloge augmentera et plus le temps de propagation devra être réduit et les connexions entre composants minimisés. Le circuit logique entre deux bascule implique une transmission de données à travers plusieurs composants, on parle alors de chemin de transmission. Dans un système, le chemin de transmission le plus susceptible d'apporter du délai et donc qui contient potentiellement le plus de composants est appelé chemin critique. ANNEXES seront plus efficaces, lors d'une implémentation matérielle numérique, désigne le temps que met une information à se propager dans les composants

, Il décrit également les problèmes d'optimisation combinatoire comme étant une sous-classe des problèmes d'optimisation dont les variables sont encodées sous forme de variables discrètes. Les applications concernent la finance, BLUM 2003] décrit la résolution de problèmes d'optimisation comme étant la recherche des meilleures configurations d'un jeu de variables pour atteindre certains buts

, ANNEXES FIGURE 4.21 -Architecture des calculs de a et p en utilisant l'interpolation de Lagrange pour effectuer la tangente hyperbolique